CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 交通灯 vhdl

搜索资源列表

  1. 交通灯 VHDL

    0下载:
  2. 交通灯 VHDL EDA
  3. 所属分类:源码下载

    • 发布日期:2010-12-15
    • 文件大小:29184
    • 提供者:princess7ing
  1. 交通灯VHDL

    1下载:
  2. 相关知识 本实验要设计实现一个十字路口的交通灯控制系统,与其他控制系统一样,本系统划分为控制器和受控电路两部分。控制器使整个系统按设定的工作方式交替指挥双方向车辆通行,并接收受控部分的反馈信号,决定其状态转换方向及输出信号,控制整个系统的工作过程。 路*通灯控制系统的有东西路和南北路交通灯 R(红)、Y(黄)、G(绿)三色,所有灯均为高电平点亮。设置20s 的通行时间和5s 转换时间的变模定时电路,用数码管显示剩余时间。提供系统正常工作/复位和紧急情况两种工作模式。
  3. 所属分类:文档资料

  1. 交通灯控制器

    0下载:
  2. 很久以前自己写的VHDL实现的交通灯控制器~ 动态数码管控制。altera平台
  3. 所属分类:VHDL编程

    • 发布日期:2011-11-12
    • 文件大小:334706
    • 提供者:congyong1988
  1. 两路十字路口的交通灯控制的VHDL源码

    2下载:
  2. 两路十字路口的交通灯控制的VHDL源码,毕业设计,,Two-way traffic lights at the crossroads of the VHDL source code control, graduation design,
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-22
    • 文件大小:77531
    • 提供者:wang
  1. traffic

    0下载:
  2. 利用vhdl编写的模拟交通灯的程序,调试成功,欢迎大家使用。-Prepared by the use of VHDL simulation of traffic lights procedures, debugging success, welcome to use.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1037425
    • 提供者:0508140110
  1. VHDLjiaotongdeng

    0下载:
  2. 有关毕业设计交通灯的VHDL设计,包括源码程序和仿真图形相关报告。-Traffic lights on the graduation project of VHDL design, including source code and simulation procedures related to the report graphics.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1565938
    • 提供者:乐乐
  1. traffic

    0下载:
  2. 简单的交通灯,功能为红灯,黄灯,绿灯轮流亮,时间多少可以改变-Simple traffic lights, feature a red light, yellow light, green light rotation, how much time can be changed
  3. 所属分类:assembly language

    • 发布日期:2017-04-11
    • 文件大小:932
    • 提供者:严作海
  1. traffic-VHDL

    0下载:
  2. 最简便的交通灯控制源代码 适合VHDL初学者 十字路口红绿灯控制,数码管显示-The most simple traffic lights to control the source code for VHDL beginners crossroads traffic lights control, digital display
  3. 所属分类:VHDL编程

    • 发布日期:2016-12-23
    • 文件大小:1733
    • 提供者:何柯东
  1. traffic

    0下载:
  2. 交通灯的简单控制,实现了交通路口的指挥系统,但是该程序做的比较简单,功能不是很全面,和显示的交通路口的指挥有不符的地方-A simple traffic light control, to achieve the traffic at the junction of the chain of command, but the procedure is relatively simple to do, function is not very comprehensive, and display
  3. 所属分类:assembly language

    • 发布日期:2017-04-17
    • 文件大小:22919
    • 提供者:
  1. jiaotongdeng

    0下载:
  2. 设计一个简单的十字路口交通灯。交通灯分东西和南北两个方向,均通过数码管和指示灯指示当前的状态。设两个方向的流量相当,红灯时间45s,绿灯时间40s,黄灯时间5s。-Design a simple traffic lights at a crossroads. Traffic lights at East-West and North-South in both directions, both through the digital control and the current status
  3. 所属分类:assembly language

    • 发布日期:2017-03-31
    • 文件大小:1028
    • 提供者:linyao
  1. jiaotongdeng

    0下载:
  2. 交通灯控制系统VHDL源码,用VHDL语言、MAXPLUS2环境设计实现-VHDL core
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:401377
    • 提供者:DAVID
  1. VHDL312vh6

    0下载:
  2. 包含若干个VHDL小例子,有交通灯,电子琴,简易秒表,等等,交通灯已经测试过,根据自己的需要,稍微改动,很好用!-VHDL contains a number of small example, there is traffic lights, Electronic organ, simple stopwatch, and so on, traffic lights have been tested, according to their own needs, slightly altered,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:328057
    • 提供者:lee gilbert
  1. VHDl

    0下载:
  2. 基于VHDL实现的十字路口交通灯功能,其中包括红灯,黄灯,绿灯。-VHDL-based implementation of traffic lights at the crossroads of features, including red, yellow, green.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-24
    • 文件大小:24846
    • 提供者:李国村
  1. eda_traffic_light

    1下载:
  2. vhdl实现交通灯设计,可以实现十字路口处交通控制,开发工具quartus-vhdl traffic lights to achieve the design, can be achieved at a crossroads Traffic Control Office, Development Tools quartus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1579895
    • 提供者:gmaj
  1. VHDL

    0下载:
  2. 基于FPGA交通灯控制VHDL源代码,东西,南北,主干,支干-FPGA-based control of traffic lights VHDL source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:3130
    • 提供者:解密
  1. tc

    0下载:
  2. 只有一个方向的交通灯!!!!有倒计时功能 -jtd
  3. 所属分类:assembly language

    • 发布日期:2017-04-13
    • 文件大小:1741
    • 提供者:biluo
  1. traffic

    0下载:
  2. 用FPGA实现交通灯-With the FPGA implementation of traffic lights
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:41004
    • 提供者:介意
  1. jiaotongdeng_VHDL

    0下载:
  2. 交通控制灯:4个红色指示灯、4个绿色指示灯和4个黄色指示灯模仿路口的东、西、南、北四个方向的红、绿、黄交通灯。控制这些指示灯,使它们按下列规律亮、灭: ①初始状态为四个方向的红灯全亮,时间1秒。 ②东、西方向绿灯亮,南、北方向红灯亮。东、西方向通车,时间5秒。 ③东、西方向黄灯闪烁,南、北方向红灯亮,时间2秒。 ④东、西方向红灯亮,南、北方向绿灯亮。南、北方向通车,时间5秒。 ⑤东、西方向红灯亮,南、北方向黄灯闪烁,时间2秒。 ⑥返回2,继续运行。 ⑦若
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-04-16
    • 文件大小:134742
    • 提供者:myname
  1. 1

    0下载:
  2. VHDL 滴很多实例,例如电子琴,时钟,交通灯-VHDL drop many instances, such as organ, clock, traffic lights, etc.
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:2772
    • 提供者:liuying
  1. vhdl交通灯

    0下载:
  2. 实现十字路口两个交通灯的功能,完整实验报告,含源代码(The realization of the intersection of two traffic lights function, complete experimental report, including source code)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-12-22
    • 文件大小:1159168
    • 提供者:无与伦比的
« 12 3 4 5 6 7 8 9 10 ... 18 »
搜珍网 www.dssz.com